Welcome![Sign In][Sign Up]
Location:
Search - encrypt verilog

Search list

[Crack Hackaes_core

Description: Verilog实现AES加密算法 密码模块作为安全保密系统的重要组成部分,其核心任务就是加密数据。分组密码算法AES以其高效率、低开销、实现简单等特点目前被广泛应用于密码模块的研制中。密码模块一般被设计成外接在主机串口或并口的一个硬件设备或是一块插卡,具有速度快,低时延的特点。而从整体发展趋势来看,嵌入式密码模块由于灵活,适用于多种用户终端、通信设备和武器平台,将会得到更加广泛的应用-AES encryption algorithm realize Verilog module password security system as an important part of its core mission is to encrypt the data. AES block cipher algorithm for its high efficiency, low overhead, simple features such as the current password is widely used in research and development modules. Password modules are generally designed to host external serial or parallel port of a hardware device or a card with a high speed, low latency characteristics. From the overall development trend, the embedded code module as a result of flexible and applicable to many user terminals, communications equipment and weapons platforms, will be more widely applied
Platform: | Size: 79872 | Author: yuansuchun | Hits:

[VHDL-FPGA-VerilogDES

Description: DES加密算法的VHDL实现,采用流水线技术实现-The VHDL implement of DES encrypt algorithmic
Platform: | Size: 17718272 | Author: Mr Yang | Hits:

[VHDL-FPGA-Verilogencrypt

Description: 完成汽车用HITAG2加密方式,用verilog完成-Completed the automotive HITAG2 encryption completed, with verilog
Platform: | Size: 1024 | Author: liwenyi | Hits:

[VHDL-FPGA-VerilogEncrypt_Decrypt(DES)_Verilog

Description: Encrypt and decrypt DES algorithm in verilog
Platform: | Size: 8192 | Author: hr | Hits:

[VHDL-FPGA-VerilogDES-Verilog-master

Description: DES加密算法硬件verilog实现,包含testbench,加密主模块encrypt,明文变换模块LRToCiphertextConverter,NextRi模块等子模块。-DES encrypt verilog
Platform: | Size: 11264 | Author: lv | Hits:

[VHDL-FPGA-Verilogdes

Description: 具有所有的DES等加密解密运算操作实现,加密,解密等运算-verilog DES encrypt
Platform: | Size: 3072 | Author: 翟江涛 | Hits:

CodeBus www.codebus.net